參數(shù)資料
型號(hào): CO561AD-D
英文描述: Ichip Internet Controller(Internet網(wǎng)絡(luò)控制器)
中文描述: Ichip互聯(lián)網(wǎng)控制器(互聯(lián)網(wǎng)網(wǎng)絡(luò)控制器)
文件頁數(shù): 37/52頁
文件大小: 1835K
代理商: CO561AD-D
Socket iChip Carrier Board
iChip & iChip LAN Datasheet 7-1
7.6 PLD Equations
------------------------------------------------------------------
-- File name:
imdlnref.vhd
-- Designed by:
Leonid Epstein
-- Purpose:
iLAN board Control Logic.
-- The board memory map:
-- 0x00000 - 0x1ffff - system SRAM
-- 0x20000 - 0x27fff - LAN IO space (32KB)
--
-- 0x28000 - 0x2ffff - LAN DMA access (32KB)
-- 0x38000 - 0x3ffff - LAN memory space (32KB)
-- 0x80000 - 0xfffff - System FLASH
------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity imdlnref is
port (
a : in std_logic_vector(19 downto 15);
wr_n : in std_logic;
rd_n : in std_logic;
memwr_n : out std_logic;
memrd_n : out std_logic;
iowr_n : out std_logic;
iord_n : out std_logic;
aen : out std_logic
);
attribute loc : string ;
attribute loc of wr_n : signal is "P2" ;
attribute loc of rd_n : signal is "P3" ;
attribute loc of a15 : signal is "P4" ;
attribute loc of a16 : signal is "P5" ;
attribute loc of a17 : signal is "P6" ;
attribute loc of a18 : signal is "P7" ;
attribute loc of a19 : signal is "P8" ;
attribute loc of memwr_n : signal is "P13" ;
attribute loc of memrd_n : signal is "P14" ;
attribute loc of iowr_n : signal is "P15" ;
attribute loc of iord_n : signal is "P16" ;
attribute loc of aen : signal is "P17" ;
end imdlnref;
architecture behavioral of imdlnref is
signal mem_access: std_logic;
signal io_access: std_logic;
signal dma_access: std_logic;
begin
mem_access <= '1' when a(19 downto 16) = "0011" and a(15) = '1'
else '0'; -- 0x38000 - 0x3FFFF ;
io_access <= '1' when a(19 downto 16) = "0010" and a(15) = '0'
else '0'; -- 0x20000 - 0x27FFF ;
dma_access <= '1' when a(19 downto 16) = "0010" and a(15) = '1'
else '0'; -- 0x28000 - 0x2FFFF ;
memwr_n <= wr_n when mem_access = '1' else '1';
memrd_n <= rd_n when mem_access = '1' else '1';
iowr_n <= wr_n when io_access = '1' or dma_access = '1' else '1';
iord_n <= rd_n when io_access = '1' or dma_access = '1' else '1';
aen <= '1' when dma_access = '1' else '0' ;
end behavioral;
(default IO 0x300 so the first access s.b. to 0x20300)
相關(guān)PDF資料
PDF描述
CO561AD-S Ichip Internet Controller(Internet網(wǎng)絡(luò)控制器)
COM117HVT 5.0 AMP POSITIVE VOLTAGE REGULATOR
COM117T 5.0 AMP POSITIVE VOLTAGE REGULATOR
COM117T (COTS) COMMERCIAL OFF-THE-SHELF 1.5 AMP POSITIVE ADJUSTABLE VOLTAGE REGULATOR IN TO-257 PACKAGE
COM137T Three Terminal, Precision Adjustable Negative Voltage Regulator(三端精密可調(diào)負(fù)電壓穩(wěn)壓器)
相關(guān)代理商/技術(shù)參數(shù)
參數(shù)描述
CO561AD-D/20PC-3 制造商:Connect One 功能描述:COMMUNICATION ICHIP PLUS INTERNET CONTROLLER 68PLCC
CO561AD-D/20PC-5 制造商:Connect One 功能描述:COMMUNICATION ICHIP PLUS INTERNET CONTROLLER 68PLCC
CO561AD-L/20PC-3 制造商:Connect One 功能描述:COMMUNICATION ICHIP PLUS INTERNET CONTROLLER 68PLCC
CO561AD-L/20PC-3G 制造商:Connect One 功能描述:CO561AD-L SERIAL LAN VERSION,
CO561AD-L/20PC-5 制造商:Connect One 功能描述:Internet Controller, Ichip LAN Evaluation Platform, Plcc-68 Form FACtor, 5 V